Please login to be able to save your searches and receive alerts for new content matching your search criteria.
A reduced supply voltage must be accompanied by a reduced threshold voltage, which makes this approach to power saving susceptible to process variation in transistor parameters, as well as resulting in increased subthreshold leakage. While adaptive body biasing is efficient for both compensating process variation and suppressing leakage current, it suffers from a large overhead of control circuit. Most body biasing circuits target an entire chip, which causes excessive leakage of some blocks and misses the chance of fine grain control. We propose a new adaptive body biasing scheme, based on a lookup table for independent control of multiple functional blocks on a chip, which controls leakage and also compensates for process variation at the block level. An adaptive body bias is applied to blocks in active mode and a large reverse body bias is applied to blocks in standby mode. This is achieved by a central body bias controller, which has a low overhead in terms of area, delay, and power consumption. The problem of optimizing the required set of bias voltages is formulated and solved. A design methodology for semicustom design using standard-cell elements is developed and verified with benchmark circuits.
A new 1-bit hybrid Full Adder cell is presented in this paper with the aim of reaching a robust and high-performance adder structure. While most of recent Full Adders are proposed with the purpose of using fewer transistors, they suffer from some disadvantages such as output or internal non-full-swing nodes and poor driving capability. Considering these drawbacks, they might not be a good choice to operate in a practical environment. Lowering the number of transistors can inherently lead to smaller occupied area, higher speed and lower power consumption. However, other parameters, such as robustness to PVT variations and rail-to-rail operation, should also be considered. While the robustness is taken into account, HSPICE simulation demonstrates a great improvement in terms of speed and power-delay product (PDP).
Statistical static timing analysis (SSTA) methods, which model process variations statistically as probability distribution function rather than deterministically, have been thoroughly performed on traditional zero clock skew circuits. In the traditional zero clock skew circuits, the synchronizing clock signal is designed to arrive in phase with respect to each register. However, designers will often schedule the clock skew to different registers in order to decrease the minimum clock period of the entire circuit. Clock skew scheduling imparts very different timing constraints that are based, in part, on the topology of the circuit. In this paper, SSTA is applied to nonzero clock skew circuits in order to determine the accuracy improvement relative to their zero skew counterparts, and also to assess how the results of skew scheduling might be impacted with more accurate statistical modeling. For 99.7% timing yield (3σ variation), SSTA is observed to improve the accuracy, and therefore increase the timing margin, of nonzero clock skew circuits by up to 2.5×, and on average by 1.3×, the amount seen by zero skew circuits.
Clock distribution has been a major limitation on delay, power and routing resources in ultra-large nanoscale circuits. Some emerging technologies are proposed to use RF instruments for on-chip clock routing in large chips but they suffer from large power and area overheads. In this paper, a hybrid radio frequency (RF) and metal clock networking architecture corresponding with an efficient RF and metal clock routing is presented which combines the benefits of RF/wireless interconnect and metal/wired connections to reach a reasonable trade-off between RF and metal interconnect technologies. Our experiments show that clock network delay and clock tree congestion is improved by 61% and 40% on average. Moreover, sensitivity of attempted benchmarks to process variation of interconnects is reduced considerably. These improvements are gained at a cost of less than 2% of area overhead and less than 10% power consumption overhead for large circuits. It is shown that overheads are very small for large circuits such that this technology will be completely feasible and reasonable for too large and complex circuits.
The stability, leakage power and speed of Static random access memory (SRAM) have become an important issue with CMOS technology scaling. In this paper, a controller circuit is introduced which is separately controlling the load, driver and access transistors of SRAM cell. Based on word line signal value, optimal body bias voltage is generated through control circuitry to control stability, leakage and speed in SRAM cell. The proposed cell gives faster read and writes with an improvement of 68.5% and 89.2% over conventional 6T SRAM cell. In standby mode, about 62.2% leakage power reduction is observed in 8×16 array architecture of SRAM. The proposed cell is implemented with 65nm CMOS technology and exhibits higher hold and write margins with an improvement of 26.29% in hold margin and 16.6% improvement in write margin as compared to conventional 6T SRAM cell. Robustness of the proposed SRAM cell with respect to stability, leakage and speed are confirmed under process, voltage and temperature variations.
Designing of Complementary Metal Oxide Semiconductor (CMOS) technology based VLSI circuits in deep submicron range includes many challenges like tremendous increase of leakage power. Design is also easily affected by process variation. The Carbon NanoTube Field Effect Transistor (CNTFET) is an alternative for Metal Oxide Semiconductor Field Effect Transistor (MOSFET) for nanoscale range VLSI circuits design. CNTFET offers best performance than MOSFET. It has high stability and consumes least power. Static Random Access Memory (SRAM) cells play a vital role in cache memory in most of the electronic circuits. In this paper, we have proposed a high stable and low power CNTFET based 8Transistor (8T) SRAM cell. The performance of proposed 8T SRAM cells for nominal chiral value (all CNTFET with m=19, n=0) and Dual chiral value (NCNTFET with m=19, n=0 and PCNTFET m=16, n=0) is compared with that of conventional 6T and 8T cells. From the simulation results, it is noted that the proposed structure consumes less power than conventional 6T and 8T cells during read/write operations and gives higher stability during write and hold modes. It consumes higher power than conventional 6T and 8T cells during hold mode and provides lower stability in read mode due to direct contact of bit lines with storage nodes. A comparative analysis of proposed and conventional 8T MOSFET SRAM has been done and the SRAM parameters are tabulated. The simulation is carried out using Stanford University 32nm CNTFET model in HSPICE simulation tool.
The ultimate aim of a memory designer is to design a memory cell which could consume low power with high data stability in the deep nanoscale range. The implementation of Very Large-Scale Integration (VLSI) circuits using MOSFETs in nanoscale range faces many issues such as increasing of leakage power and second-order effects that are easily affected by the PVT variation. Hence, it is essential to find the best alternative of MOSFET for deep submicron design. The Carbon Nanotube Field Effect Transistor (CNTFET) can eradicate all the demerits of MOSFET and be the best replacement of MOSFET for nanoscale range design. In this paper, a 10T CNTFET Static Random Access Memory (SRAM) cell is proposed. The power consumption and Static Noise Margin (SNM) are analyzed. The power consumption and stable performance of the proposed 10T CNTFET SRAM cell are compared with that of conventional 10T CNTFET SRAM cell. The power and stability analyses of the proposed 10T and conventional 10T CNTFET SRAM cells are carried out for the CNTFET parameters such as pitch and chiral vector (m,n). The power and SNM analyses are carried out for ±20% variation of oxide thickness (Hox), different dielectric constant (Kox). The supply voltage varies from 0.9V to 0.6V and temperature varies from 27∘C to 125∘C. The simulation results show that the proposed 10T CNTFET SRAM cell consumes lesser power than conventional 10T CNTFET SRAM cell during the write, hold and read modes. The write, hold and read stability of the proposed 10T CNTFET SRAM cell are higher as compared with that of conventional 10T CNTFET SRAM. The conventional and proposed 10T SRAM cells are also implemented using MOSFET. The stability and power performance of proposed 10T SRAM cell is also as good as conventional 10T SRAM for MOSFET implementation. The proposed 10T SRAM cell consumes lesser power and gives higher stability than conventional 10T SRAM cell in both CNTFET and MOSFET implementation. The simulation is carried out using Stanford University 32nm CNTFET model in HSPICE simulation tool.
In this paper, a 11-T static random-access memory (SRAM) cell has been examined that shows a fair reduction in read power dissipation while upholding the stability and moderate performance. In the presented work, parametric variability analysis of various design metrices such as signal to noise margin, read current and read power of the Proposed 11T cell are presented and compared with few considered topologies. The Proposed cell offers single ended write operation and differential read operation. The improvement in read signal to noise margin and write signal to noise margin with respect to conventional 6T SRAM is 10.63% and 33.09%, respectively even when the write operation is single ended. Mean hold static noise margin of the cell for 3000 samples is 1.75× times higher than considered D2p11T cell. Sensitivity analysis of data retention voltage (DRV) with respect to temperature variations is also investigated and compared with considered topologies. DRV variation with temperature is least in FF process corner. In comparison to conventional 6T SRAM cell, the write and read delay of Proposed 11T cell gets improved by 2.55× and 1.64%, respectively. Proposed 11T topology consumes least read energy in comparison with considered topologies. In comparison with another considered 11T topology, i.e., D2p11T cell, Proposed cell consumes 13.11% lesser area. Process variation tolerance with Monte Carlo simulation for read current and read power has been investigated using Cadence virtuoso tool with GPDK 45-nm technology.
This paper presents a novel low-leakage 10T SRAM cell along with its new read circuitry. It utilizes isolated read path for the read operation that enhances the read stability of the cell as compared to conventional 6T SRAM cell. The proposed cell has been introduced for IoT applications where low power devices are the primary requirement in order to enhance the battery life. To minimize the leakage current, the PMOS transistor has been employed at the read circuitry which assists to minimize the leakage current due to induced stacking effect. The leakage current is 37.66%, 40.11% and 67.39% less as compared to 6T SRAM, 8T SRAM and RDPFC 9T SRAM cells, respectively. The read delay for the proposed cell is 39.80%, 89.13% and 42.33% less as compared to 6T SRAM, 8T SRAM and RDPFC 9T SRAM cells, respectively. Also, the results depict the speed improvement of 48.60%, 52.49% and 55.71% during write “0” and 46.97%, 57.5% and 54.52% improvement during write “1” operation as compared to 6T SRAM, 8T SRAM and RDFC 9T SRAM cells, respectively. The RSNM of the proposed cell is 649 mV that shows enhanced read stability over conventional 6T SRAM cell. The proposed cell proves its robustness against worst-case process variations. All the simulation work has been completed on the Cadence Virtuoso environment at 180 nm technology node.
Memristors have attracted considerable attention since their physical realization was reported by Hewlett-Packard (HP) Lab in 2008. Their distinctive properties like nonvolatility, re-configurability and analog processing capability have found promising potential in developing future neuromorphic computing systems, next-generation nonvolatile memories, etc. However, the device characteristics of memristors and their utilizations have not been fully studied. Particularly, predicting the composite behaviors in memristor series and parallel circuits is challenging because of the polarity- and state-dependent electric property of individual memristors. In this paper, the composite characteristics of multiple memristor circuits in series and parallel, respectively, are investigated comprehensively. Specifically, the transient behaviors are revealed in terms of the changes of charge or flux thresholds of the memristors and the conditions to achieve the steady state through transient state are also studied. Furthermore, their composite electric properties in the steady state are presented. Finally, the impact of unavoidable process variations of memristors on composite behaviors is analyzed based on massive Monte-Carlo simulations.
Manufacturing processes often consist of a number of sequential stages. Of interest is to control the variation in one or more quality characteristics of a production unit at the final stage. By understanding how variation is transmitted and added across the stages, remedial actions in reducing variation at the final stage can be properly planned. With one quality characteristic measured at each stage, a set of naive estimators is previously proposed and shown to perform indistinguishably well with maximum likelihood estimators. Thus naive estimators are more convenient than maximum likelihood estimators as the former exist in closed form while the latter do not. This article considers situations when more than one quality characteristic is measured throughout the stages. Methods of analyzing variation transmission are briefly reviewed and the finite sample properties of naive and maximum likelihood estimators for multivariate measurements are further examined. A broad conclusion is that for moderate number of production units, naive estimators have smaller bias and variability. Furthermore, "proper" naive estimates provide more accurate interval estimates at a given confidence level. Finally, a set of piston-machining data is used for illustration.
As one promising candidate for next-generation nonvolatile memory technologies, spin-transfer torque random access memory (STT-RAM) has demonstrated many attractive features, such as nanosecond access time, high integration density, nonvolatility, and good CMOS process compatibility. In this paper, we address the asymmetry in the write operations of STT-RAM cells: the mean and the deviation of the write latency for the switching from low- to high-resistance state is much longer or larger than that of the opposite direction. Some special design concerns, e.g., the data-dependent write reliability, are raised by this observation. We systematically analyze the root reasons for the asymmetric switching of MTJs, including the thermal-induced statistical MTJ magnetization process, the asymmetric biasing condition of NMOS transistors, and the device variations of both NMOS and MTJ. Their impacts on STT-RAM write operations were also investigated. At last, we explore the design spaces of different STT-RAM cell structures by considering the asymmetry of write operations.
Spin-transfer torque random access memory (STT-RAM) has demonstrated great potentials as a universal memory for its fast access speed, zero standby power, excellent scalability and simplicity of cell structure. However, large process variations of both magnetic tunneling junction and CMOS process severely limit the yield of STT-RAM chips. In this paper, we propose a novel voltage-driven non-destructive self-reference sensing scheme (NDRS) to enhance the STT-RAM chip yield by significantly improving sense margin. Monte-Carlo simulations of a 16 Kb STT-RAM array shows that our proposed scheme can achieve the same yield as the previous NDRS scheme while improving the sense margin by 5 × with the similar access performance and power.